Procedure

Part 1: Download the Basys3 Vivado Decoder Tutorial. Follow the instructions in the tutorial until you have a functioning decoder.

Part 2: Create a new decoder project with a different name, and implement the same design using structural Verilog design techniques.Open up the schematic view in Vivado, and study/understand the implementation.Use “or, and”, and other structural Verilog statements to implement the Decoder.

Here's the Lab Code. Lab 0 Decoder Code